Покажи участието

От тук може да видиш всички публикации на този потребител.


Публикации - s_stoqnov

Страници: [1]
1
Програмиране / FPGA & VHDL
« -: Април 06, 2009, 12:35:52 pm »
Цитат
...но там само мога да гледам времедиаграми...
Можеш да видиш и синтезиранта схема. В момента нямам инсталиран xilinx и не мога да ти кажа къде точно се цъка, но може.
Цитат
...искам да чете входни сигнали...
Имаш дефинирано entity. Може да си го представиш като черна кутия на която си описал какви/колко входове и изходи има. Описал си и поведението и процесите на черната кутия. Следващата стъпка е да свържеш физическите изводи на чипа с виртуалните на черната кутия. Физичестките си имат етикети (някакво име). Свързването се описва в отделен файл с разширение ".ucf".
Формата на файла е следния:
NET "<име на извод от entity-то" LOC = "<етикет на извод от чипа>";

Пример (изписва F на 7 сегментна индикация): library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity Letter is
    Port ( LED : out std_logic_vector(6 downto 0));
end Letter;

architecture Behavioral of Letter is

begin
  LED <= "0001110";   -- Изписва "F" на 7 сегментна индикация
end Behavioral;

.ucf файлаNET "LED<0>"  LOC = "E14"  ;
NET "LED<1>"  LOC = "G13"  ;
NET "LED<2>"  LOC = "N15"  ;
NET "LED<3>"  LOC = "P15"  ;
NET "LED<4>"  LOC = "R16"  ;
NET "LED<5>"  LOC = "F13"  ;
NET "LED<6>"  LOC = "N16"  ;

2
Програмиране / FPGA & VHDL
« -: Април 05, 2009, 05:41:12 pm »
FPGA представлява програмируема логика (масив от гейтове). Задачата на разработчика е чрез логически схеми или програмен код да опише как ще работи чипа. Така че ти чрез програмния код синтезираш хардуерна схема.

Свали и си инсталирай средата на xilinx. Напиши една програма (в миналия пост има примерчета). Пускаш го и ще видиш от кода ти каква схема от логически елементи ще се получи.

Съвет: започни да четеш за vHDL (или Verilod което повече ти хареса). Запознай се със синтаксиса, разбери концепцията. След това започни да пишеш малки програмки (например реализирай логическите елементи, тригери, преместващ регистър). Пусни симулация наблюдавай сигналите. След това почни да четеш за FPGA и програмируемата логика. Отново се върни на vHDL-a. Всичко което не ти е било ясно, сега ще ти се изясни.

3
Ето малко снимки и от мен.

ПС: Ако някой иска файловете с по-добро качество нека пише.

4
Програмиране / FPGA & VHDL
« -: Април 03, 2009, 09:32:19 am »
Здравей, може да се каже, че имам малко опит. Правил съм судику. Вход на данните по PS2 клавиатура и управление на монитор във VGA режим. Писано е на vHDL. Средата и компилатора са на Xilinx, а проца е Spartan3.

Това ми беше задачката по Машинно Проектиране на Интегрални Схеми (МПИС). На този линк ще намериш полезна информация. Ще научиш от къде да започнеш, има и доста примери.

Една развойна платка със Spartan3 е около 150лв., човек може да си я позлови, ако иска да се занимава.

Ако искаш ще ти пратя и моето судоку (не е направено да проверява дали е решено вярно).

5
Електроника / Практически схеми на H-мост
« -: Февруари 08, 2009, 11:19:35 am »
Ако може да дадеш някакви координати, че аз където и да питам, не ми се радват като има кажа че искам единични бройки.

6
Електроника / Практически схеми на H-мост
« -: Февруари 08, 2009, 09:46:18 am »
Тези резистори R1, R2, R3 и R4 за какво си ги сложил?
А платката къде си я правил?

7
Електроника / ISD1420
« -: Февруари 06, 2009, 09:06:40 pm »
В comet.bg ги има. Можеш да си поръчаш от там. Как да ти помогнем да си я вземеш?

8
Робо-сумо / Сумобот
« -: Февруари 02, 2009, 12:22:59 am »
PIC18F4550 + ICD2 LE

Джъмперите от www.maplin.co.uk

9
Робо-сумо / Сумобот
« -: Февруари 01, 2009, 07:10:21 pm »
Колеги гответе се за безмилостна конкуренция. В скоро време ще се роди още един сумист.

Наскоро случайно попаднах в този сайт, та се зарибих по идеята "робот сумист".

Днес зглобих първата фаза от проекта. Edge детекорите.

Качвам няколко снимчици:

цък

Страници: [1]