Покажи участието

От тук може да видиш всички публикации на този потребител.


Публикации - ivelinqnev

Страници: [1]
1
Електроника / Времедиаграма на следната схема
« -: Декември 15, 2009, 04:11:35 pm »
Някой може ли да помогне с времедиаграма на следната схема(която се състои от 3 MUX).... пробвах се с мултисим, но неуспях да настроя wordgeneratоr-a за D входа, за клока(ще ползвам отделен генераторІ, това е ясно.Не знам как да задам на wordgeneratоr-a комбинациите... ето и схематаhttp://kachi-snimka.info/viewer.php?id=klz1260886267r.jpg

2
Електроника / Помощ за синтез на тригер
« -: Декември 07, 2009, 11:32:51 am »
Цитат на: "tommyboy"
Е ти един елементарен мултиплексор с два входа като не можеш да разбереш как да си направиш става много сложно.

ето ти една схема на мултиплексор от твоя пдф с два входни канала, вход за избор на входен канал и изход
 
http://img163.imageshack.us/img163/4733/27902804.jpg

хайде сега си я начертай схемата и направи време-диаграма или я симулирай аз я симулирах и си бачка реагира и на двата фронта , даже мерси за пдфа чудех се как бачка паметта DDR.

пуснах го и аз на мултисим-а, схемичката която си ми дал и тази времедиаграма ми се получи(като в синия цвят е изхода) http://kachi-snimka.info/viewer.php?id=bwy1260178213h.JPGтова ли трява да се получи, за да превкл. и по преден и заден фронт(както работи самият DET тригер)..мерси[/url]

3
Електроника / Помощ за синтез на тригер
« -: Декември 06, 2009, 12:32:41 pm »
Цитат на: "emil74"
Аз доколкото разчитам графиката, връзката е с всичките CLK. При срещане на преден или заден фронт се променя състоянието на изхода. А в конкретния пример сигналите са така синхронизирани, че генерират еднакви импулси, през еднакъв интервал на време.
И аз мисля, че ще стане с елементарна логика.
 Я дай една блок-схема, за да не седнем да правим нещо, което после да се окаже, че не отговаря на заданието!

Явно аз не мога да обясна: трябва да разбера как рабори DET тригера(в общи линии ми е ясно, но искам да намеря(синтезирам) самият тригер, а то във всички статии е даден с някакво квадрачни и не знам как е изграден самият(а той работи по-горе казания начин) превкл. по преден и заден фронт.Ето един линк с тригераhttp://atrak.usc.edu/~massoud/Papers/det-ff.pdf много ми трябва самата структура.Мерси отново!!!

4
Електроника / Помощ за синтез на тригер
« -: Декември 05, 2009, 11:46:49 am »
Цитат на: "emil74"
Каква е целта на занятието? Задължително ли е да е точно тригер? Контролер?

По принцип трябваше да разбера как работи DET тригера http://atrak.usc.edu/~massoud/Papers/det-ff.pdf, но се указа, че това не ми върши работа(според доцента), трябва ми да намеря/синтезир. самият тригер.Във всички статии са дадени тригера с някакво др. устройство... или по точно трябва да съставя време диаграма на тригера... ако успея да намеря същинското у-во на тригера др. е лесно... Някакви идеи

5
Електроника / Помощ за синтез на тригер
« -: Декември 04, 2009, 07:33:55 pm »
Цитат на: "emil74"
Т.е. искаш да реагира на промяна на нивото, така ли?
Искам да може да се превкл. и по предния и по задния фронт т.ест да прави запис в рамките на един тактов импулс... ето като тази графика DET тригера прави това нещо, но не мога да намеря негова същинска схема на самия тригер.. някакви http://kachi-snimka.info/viewer.php?id=arx1259947917w.JPG

6
Електроника / Помощ за синтез на тригер
« -: Декември 04, 2009, 11:27:26 am »
Може ли някой да помогне за синтеза на следния вид тригер: трябва да се превкл. и по преден и по заден фронт.Намерих един тригер DET, но не можах да разгадая как работи(не беше опис. самия тригер).За това реших сам да го синтезирам.Ще бъда много благодарен, ако някой помогне поне с идеи.

7
Здравейте, чудех се къде точно да пиша за мойто питане и тук асе спрях.Ще учствам в едно състезание и съм намислел да проектирам следното нещо.ДА се проектира автомат за управление на асансьор за обслужване на триетажна сграда.Реализирайки схемата с елемнтна база по ваш избор.Това е условието, но трябва да се ползват ТТЛ елемнти и тригери( това е позволено), ако може някой да помогне с идеи, теория, статии, схеми и каквото и да е само да ми послужи ще му бъда много благодарен

Страници: [1]