Автор Тема: GAL?  (Прочетена 7346 пъти)

Vexorian

  • Заклет Роботостроител
  • *****
  • Публикации: 305
    • Профил
GAL?
« -: Април 30, 2009, 02:26:20 pm »
Наскоро попаднах на тази ИС - http://www.msc-ge.com/download/lattice/files/22v10mil.pdf
Разбирам, че е някаква програмируема логика, но как точно се програмира?
Викторио Семир Ел Хаким, София 20г.
Аналогова/Цифрова електроника, програмиране
I\'m watching your ass! :D

nunep

  • Вече знае какво е робот!
  • *****
  • Публикации: 76
    • Профил
GAL?
« Отговор #1 -: Април 30, 2009, 03:27:08 pm »
http://people.sinclair.edu/nickreeder/EET131/programmingGAL.htm
 https://wiki.ittc.ku.edu/ittc/EECS140_Lab3.2

 С английския съм малко зле но от сайтовете гледам има поне основна информация

Vlado

  • Вече знае какво е робот!
  • *****
  • Публикации: 76
    • Профил
инж.Владислав Димов 33г.

Vexorian

  • Заклет Роботостроител
  • *****
  • Публикации: 305
    • Профил
GAL?
« Отговор #3 -: Май 01, 2009, 08:01:55 pm »
Точно това търсих! Много благодаря  :clap: Сега остава да видим какво правят точно  :lol:
Викторио Семир Ел Хаким, София 20г.
Аналогова/Цифрова електроника, програмиране
I\'m watching your ass! :D

tommyboy

  • Направо Робот! :)
  • *****
  • Публикации: 416
    • Профил
GAL?
« Отговор #4 -: Май 07, 2009, 10:35:48 pm »
Преди месец бях пуснал една тема за програмируеми логики само,че FPGA и CPLD, и един колега ми помогна, за което съм му много благодарен е все още не съм почнал да програмирам. От това, което прочетох разбрах, че са високо скоростни логики, които разминават бая микроконтролерите и се програмират на език VHDL и verilog сигурно има и други езици. Общо
взето, ако тръгнеш да правиш нещо с тях трябва да си заслужава.

Vexorian

  • Заклет Роботостроител
  • *****
  • Публикации: 305
    • Профил
GAL?
« Отговор #5 -: Май 08, 2009, 12:51:55 am »
Микроконтролера си е класика... PLD(програмируема логика) бих използвал само за да се реши конкретна логическа задача (именно защото е голям бредборд наблъскан с високоскоростна логика), т.е. да стане ASIC (схема типична за конкретно решение). Докато микроконтролерите стават за всичко. Не че не може да се програмира и PLD да ти върши всякаква работа, но за какво при наличие на готови по-евтини микроконтролери. Иначе FPGA много трудно се програмират на VHDL и Verilog. До колкото разбрах, това е по-гадно и от асемблер. Трикът е друг, първо се прави схемата с логиката и т.н. на Protel, Altium Designer или друга подобна програма, и след това се превръща в код. Така че ако тръгваш да се занимаваш с FPGA, ще ти е много тежко. И те уверявам, освен ако нямаш основателна причина да търсиш бързодейсвие(при математически изчисления) или реализация на мащабен проект (например графична карта за комп), нужда от FPGA няма. По добре се занимавай се микроконтролери и малки PLD-та, от колкото FPGA :)
Викторио Семир Ел Хаким, София 20г.
Аналогова/Цифрова електроника, програмиране
I\'m watching your ass! :D